電路設計技術與技巧范文

時間:2023-10-12 17:17:20

導語:如何才能寫好一篇電路設計技術與技巧,這就需要搜集整理更多的資料和文獻,歡迎閱讀由公務員之家整理的十篇范文,供你借鑒。

電路設計技術與技巧

篇1

關鍵詞:高速鐵路;橋梁建設;設計特點;關鍵技術

中圖分類號:U238文獻標識碼: A

近年來,隨著我國高速鐵路的飛速發展,高速鐵路的技術體系也在不斷的完善,主要包括:工程建造技術、高速列車技術、列車控制技術、系統集成技術和運營維護技術。其中,由于我國自身地理環境的復雜性和多變性,對高速鐵路的工程建造中橋梁建設的發展提出了越來越嚴格的要求。

1 高速鐵路橋梁建設概述

在現代高速鐵路建設中,橋梁設計與建造技術已成為關鍵技術之一。橋梁是高速鐵路土建工程的重要組成部分,主要功能是為高速列車提供平順、穩定的橋上線路,以確保運營的安全和旅客乘坐的舒適。高速鐵路技術就是通過改造原有線路(直線化、軌距標準化),使營運速率達到每小時200 公里以上,或者專門修建新的“高速新線”、使營運速率達到每小時 250 公里以上的鐵路系統。高速鐵路除了使列車在營運達到速度一定標準外,車輛、路軌、操作都需要配合提升。我國高速鐵路運營狀況的現狀是設備質量可靠、運輸安全穩定、經營狀況良好。無論是線路基礎、通信信號、牽引供電等固定設備、還是動車組等移動設備、質量穩定,運行平穩。高速安全保障體系日趨完善,職工隊伍素質過硬,保持了良好的安全記錄沒有發生旅客傷亡事故,并且高速鐵路受到廣大旅客的青睞,市場需求旺盛。這些都離不開鐵路橋梁的建設。廣義的高速鐵路包含使用磁懸浮技術的高速軌道運輸系統。為了滿足高速鐵路列車設計、施工及運營等各方面的要求,高速鐵路橋梁應具有構造簡潔、設計標準、便于施工架設和養護維修的特點,另外還應具有足夠的耐久性和良好的動力性能。在我國現在的鐵路橋梁建設中主要運用一些方法來滿足列車高速、舒適、安全行駛的要求,才能使橋梁必須有足夠的剛度和良好的整體性,設計必須滿足結構、自振頻率、豎橫向撓度和徐變上拱限值。橋梁設計必須滿足車橋動力響應的各項指標,按剛度控制設計強度進行檢算。為了保證列車運行 的連續且平順并確??鐓^間無縫線路鋼軌附加應力不超限,對下部結構的剛度、工后沉降、沉降差做了嚴格的限制,并按車橋相互作用模型進行橋上長鋼軌縱向力分析,使橋梁下部的設計更為合理。按耐久性設計作為主要的設計原則并且強調結構與環境的協調、重視生態環境的保護、注意了結構外形、色彩、防震降噪。對于我國的鐵路橋梁建設從各個方面將實現建設世界一流高速鐵路的宏偉目標,我國現在大力推進體制創新、管理創新、技術創新。在體制創新方面,創建了合資建路的嶄新模式。并且對于鐵路橋梁建設管理方面等,需要充分發揮我國鐵路路網完整、運輸集中統一指揮的優勢,統籌利用鐵路內外的各方面科研力量和人力資源,形成強大合力。在鐵路建設中,無論是工程管理部門,還是設計、施工、監理單位、都協調行動,組織起了強大的工程建設隊伍,在技術裝備制造中、無論是運營單位還是制造企業、科研院所、都統一步調,形成了強大的研發制造體系。這種科學高效的管理模式,大大提高了我國高速鐵路橋梁的建設。

2我國高速鐵路橋梁建設的設計特點

由于速度大幅提高,高速列車對橋梁結構的動力作用遠大于普通鐵路橋梁。橋梁出現較大撓度會直接影響橋上軌道平順性,造成結構物承受很大沖擊力,旅客舒適度受到嚴重影響,軌道狀態不能保持穩定,甚至危及列車運行安全。這些都對橋梁結構的剛度和整體性提出了極高的要求。

2.1 高架橋所占比例大。

高架長橋多橋梁在高速鐵路中所占的比例較大,主要原因是在平原、軟土以及人口和建筑密集地區,通常采用高架橋通過。京津城際鐵路橋梁累計長度占全線正線總長的比例為86.6%,京滬高速鐵路為80.5%,廣珠城際鐵路為94.0%,武廣客運專線為48.5%,哈大客運專線為74.3%。

2.2 大量采用簡支箱梁結構形式。

根據我國高速鐵路建設規模、工期要求和技術特點,通過深入的技術比較,確定以32m簡支箱梁作為標準跨度,整孔預制架設施工。預應力體系有先張法和后張法兩種。少部分采用12 m,16 m跨度的T形梁,預制吊裝。

2.3大跨度橋多。

受國情路況的制約,我國客運專線中,跨度達100 m及以上的大跨度橋梁很多。據統計,在建與擬建客運專線中,100 m以上跨度的高速橋梁至少在200座以上。其中,預應力混凝土連續梁橋的最大跨度為128 m,預應力混凝土剛構橋的最大跨度為180 m,鋼橋的最大跨度為504 m。

2.4橋梁剛度大,整體性好。

為了保證列車高速、舒適、安全行駛,高速鐵路橋梁必須具有足夠大的豎向和橫向剛度以及良好的整體性,以防止橋梁出現較大撓度和振幅。同時,還必須嚴格控制由混凝土產生的徐變上拱和不均勻溫差引起的結構變形,以保證軌道的高平順性。

2.5限制縱向力作用下結構產生的位移。

避免橋上無縫線路出現過大的附加力。由于橋梁結構的溫度變化、列車制動、橋梁撓曲會使橋梁在縱向產生一定的位移,引起橋上無縫線路鋼軌產生附加應力,過大的附加應力會導致橋上無縫線路失穩,影響行車安全。因此,要求橋梁墩、臺具有足夠的縱向剛度,以盡量減少鋼軌附加應力和梁軌間的相對位移。

2.6改善結構的耐久性,便于檢查和維修。

高速鐵路是極其重要的交通運輸設施,橋梁結構物應盡量做到少維修或免維修,因此,設計時需要將改善結構物的耐久性作為設計原則,統一考慮合理的結構布局和構造細節,并在施工中加以嚴格控制,保證質量。另一方面,高速鐵路運營繁忙,列車速度高,維修時間都放在夜間“天窗”時間進行,一般為4h,因此橋梁結構構造應易于檢查和維修。

3我國高速鐵路橋梁建設的關鍵技術

3.1大跨度橋梁設計建造技術

高速鐵路橋梁通常宜采用小跨。但由于跨越大江、大河和深谷的需要,高速鐵路大跨度橋梁的修建也不可避免,而我國高速鐵路大跨度橋上速度目標值與其他路段保持一致,這也增加了大跨度橋梁的設計建造難度。主要設計建造技術包括:采用更高強度等級鋼材、應用新型空間結構、研制大跨重載橋梁專用裝置、采用深水基礎施工新工藝等。

3.2無縫線路橋梁設計建造技術

橋上無縫線路鋼軌受力與路基上鋼軌受力不同,橋梁自身變形和位移將使橋上鋼軌承受額外的附加應力。為了保證橋上行車安全,設計應考慮梁軌共同作用引起的鋼軌附加力,并采取措施將其限制在安全范圍內。鋼軌附加應力包括制動力、伸縮力和撓曲力。經過多年的專題研究,目前我國系統建立了無縫線路梁一軌作用的力學模型,通過相應的模型試驗和實橋測試驗證了分析模型和理論的可靠性,制定了相應的技術控制指標。

3.3“車―線―橋”動力響應仿真技術

為保證列車高速、舒適、安全行駛,高速鐵路橋梁必須具有足夠大的剛度和良好的整體性,以防止橋梁出現較大撓度和振幅。我國從20世紀80年代初就開始進行“車―線―橋”動力相互作用理論和應用研究,建立和發展了多種分析模型,制定了相應的評定標準。在鐵道部組織的橋梁動力性能綜合試驗中,試驗車創造了300 km/h以上的速度紀錄,驗證了我國“車―線―橋”動力仿真分析方法的有效性和評定標準的可信性。通過多年科研攻關和工程實踐,基本掌握了高速鐵路“車―線―橋”動力響應作用機理。

3.4 無砟軌道橋梁設計建造技術

在無砟軌道橋梁設計中追求構造簡潔、美觀,力求標準化、便于施工架設和養護維修,確保其足夠的耐久性和良好的動力性能,關鍵在于解決梁體的剛度和變形控制技術。通過對梁體的豎向撓度、水平撓度、扭轉角、豎向自振頻率等主要技術參數的研究,以及對預應力混凝土梁徐變上拱的控制研究,使橋梁結構能夠滿足無砟軌道鋪設條件。目前我國已基本掌握了高速鐵路無砟軌道橋梁的設計建造技術。

3.5 高架長橋快速施工技術

正在建設的高速鐵路橋梁長度占線路長度的比例遠遠大于普通鐵路,并出現了一些長度大于l0 km、甚至達到上百千米的特長高架橋。標準跨度簡支梁一般采用在沿線現場預制梁廠集中預制,并以配套運架設備逐孔架設的施工方法,特殊跨度的連續梁采用原位澆筑的施工方法。通過工程實踐,形成了一系列成熟的標準梁制、運、架工藝及相應裝備,高質量、高速度地實現了特長橋梁的建造。

3.6900t級整孔簡支梁制造運輸架設技術

為解決32 m整孔預制箱梁的運架施工問題,國內自主研制了多種形式的450 t級提梁機、900 t級架橋機,900 t級運梁車、900 t級移動模架造橋機等,從建場、制梁、移運、架設等方面摸索出整套制梁技術,具有較好的施工效率、安全性與可靠性。

4結語

不斷發展中的中國高速鐵路表明,高速鐵路在我國還有進一步提高的空間和潛力,這需要充分利用自身優勢,促進我國高速鐵路的跨越式發展。因此,在未來得一段時間里,不但要持續發展高速鐵路,并且要在技術和管理上趕超一些發達國家,從而實現中國鐵路現代化。由此可見,高速鐵路對中國及其經濟發展的重要性,中國高速鐵路的發展需要橋梁建設等基礎設施的支撐,需要專業技術的不斷提高和突破。那么,在我國科研和發展的支持下,在廣大施工一線的工人群眾的大力支持下,我國發展高速鐵路將會有更大的進步,前景也將會一片光明。

參考文獻:

[1]劉春.中國高速鐵路橋梁架設設備行業研究及展望[J].建設機械技術與管理,2009(2)

篇2

題目的選取既要符合教學大綱的要求,能充分體現本課程所學的主要內容,使學生在設計過程中能綜合應用所學的知識,發揮基本技能,又要盡可能反映科學技術的先進水平,并且具有一定的實用性。就電子技術課程中有關數字邏輯方面的選題示例如下:①簡易交通燈控制邏輯電路設計;②波形發生器;③數字溫控儀;④搶答器電路設計;⑤音樂彩燈控制器;⑥邏輯電路控制的公共汽車語音報站器。以上選題,除注意使學生的理論知識,技能技巧得以鞏固加深,綜合和發展外,還考慮了設計的難易程度,工作量大小,元器件造價的高低等等因素。

隨著科學技術的發展,大規模集成電路越來越普及,專用集成電路大量涌現,各種新的電子器件不斷問世,給課程設計帶來了勃勃的生機,不僅大大減少了單元電路設計的工作量,也會把課程設計的質量推上新的水平。各種各樣的集成一體化電源的出現,使設計人員減免了電源電路設計計算,器件組裝、電路調試諸項工作,只是適當選型即可。數字顯示部件中的五合一電路CL413和LCL331等新產品,將計數、鎖存、譯碼、驅動、顯示五種功能集于一體,也大大減少計者的工作量,并且這些新產品具有功耗低,高可靠性、壽命長等優點,會大幅度提高設計電路的性能指標。在設計中新產品新技術的應用會大大縮短設計周期。所以,設計內容也要不斷更新,難度、工作量、成本核算也要作相應變更,與科學技術發展的步調一致。

簡言之,設計選題的原則是,從生產和科研需要出發,選擇既能全面考核學生掌握本課程所學知識的程度,能夠使學生加深并拓寬綜合理論知識,又利于鍛煉學生分析問題和解決問題的能力的課題,同時還要考慮學校的課程設計資金情況和教學安排的時間。有些學生在電子技術方面起步較早,對實際電子電路比較熟悉,可鼓勵他們自選課題,由指導老師按教學要求進行審定后實施。

保證課程設計質量的關鍵是充分發揮學生主體性

首先要明確課程設計的重要性。在指導課程設計的動員會上,筆者就向大家講明,我院工業電氣自動化專業,只設置了“電子技術課程設計”,這是學生在校期間進行的唯一由自己設計、實施、完成實際電路的實戰訓練;大家應該十分珍視這一理論用于實踐、指導實踐的極好機會;成功的課程設計,對學生一生工作都具有十分重要的指導意義。

其次,在向學生下達設計任務書的同時,要強調課題在生產實踐中的實用性,并結合任務書中列出的技術參數與目前生產現場使用的儀器設備進行比較,指出設計課題的先進性和科學性。

最后,要鼓勵學生認識自己的價值,肯定自己的能力,樹立做好課程設計的信心。例如,揭示學生某些電路在實驗課中已經做過,并且做得不錯;提示學生某些設計在習題課上或作業中已進行過單元電路參數的計算,邏輯電路的連接:使學生有一種似曾相識的感覺。

全面地評價學生的課程設計質量

篇3

1.1明確任務

再設計電路時,首先要明確電路需要的功能,制定詳細的任務書,確定需要的單元電路,星系擬定電路的性能指標,再通過計算電壓需要放大的倍數、電路中輸入輸出電阻的大小,繪制執行流程圖,通過設計,將電路所需的成本降到最低,提高每個單元電路、參數的精度,在提高設計電路的可靠性、穩定性的前提下,盡量簡化設計電路。

1.2參數計算

計算參數是設計電路必須要進行得步驟,通過計算,來保證電路中各個單元電路的功能指標需要達到的要求,計算參數需要電子技術的相關知識,單元電路的設計需要強大的理論知識的支撐,才能做到爐火純青。例如,在計算如下放大電路的時候,我們需要計算每個電阻的阻值、以及放大倍數,同一個電路,可能有很多數據,所以要正確的選擇數據,注意方法。

1.3繪制電路圖

電路設計時,需要將單元電路與整機電路相連,設計完整的具有一定功能的電路圖,在連接時,需要注意單元電路間連接的簡化,以及最重要的是,電路的電氣連接,是否能夠導通,實現預定功能。例如,設計單元電路間的級聯時,各單元電路設計完成時,還要考慮這些,意在減少浪費,還要注意輸入信號、輸出信號、控制信號間的關系,同時還要注意一些事項:首先,注意電路圖的可讀性。繪圖時,盡量將主電路圖繪制在一張圖紙上,其中較為獨立的部分單元電路、以及次要部分可以繪制在另一張圖上,但是一定要注意圖之間的電氣端口的連接,是否對應,各圖紙間的輸入輸出端口都要提前做好標記。其次,注意信號流向以圖形符號。信號的流向,一般從輸入端、信號源開始,從左至右、從上到下,按信號的流向依次連接單元電路。而且,圖中要加上適當的說明,如符號的標注、阻值等。最后,注意連接線畫法。電路圖中,各元件間的連接應為直線,且盡量減少交叉線,連接線的分布應為水平或者垂直,除非應對特殊情況,否則不要化斜線,如圖中不可避免的出現交叉,要將連接點用原點表示。

2幾種典型單元電路的設計方法

電子電路設計中,單元電路一定要設計合理,否則將會影響整個電路的聯通,所以,電氣工程師在設計電路時,應該更謹慎的致力于單元電路的設計。

2.1對于線性集成運放組成的穩壓電源的設計

穩壓電源的設計,一般先讓輸入電壓通過電壓變壓器,然后進行整流,然后經過濾波電路,成為穩壓電路。設計單元電路時,串聯反饋式穩壓電路可分為幾個部分,調整部分、取樣部分、比較放大電路、基準電壓電路等。這樣的設計能夠使單元電路具有保護過流、短路電流。

2.2單元電路之間的級聯設計

單元電路設計完成之后,還要考慮單元電路間的級聯問題。例如,電氣特性的相互匹配、信號耦合方式、時序配合、相互干擾等。其中信號耦合方式,還包括:直接耦合、間接耦合、阻容耦合、變壓器耦合、光耦合。時序配合的問題,相對比較復雜,需要對每個單元電路的信號進行詳細的分析,來確定電路時序。

2.3對于運算放大器電路的設計

運算放大電路在電路設計中十分常用,它能夠與反饋網絡連接,組成具有特定功能的電路模塊,是具有很高放大倍數的單元電路。運放電路的設計,可以通過元器件的組合,也可以通過具有相應功能的芯片構成,設計時對各種參數都要整體權衡,不能盲目的追求某個指標的先進。其中,要引起重視的是,應在消震引腳間接入適當的電容消振盡量避免兩級以上的放大級相連。

3結束語

篇4

涉及可視化仿真工具的應用工作主要圍繞MATLAB進行細化設計,避免繁瑣繪圖以及計算流程的牽制效應,最終挖掘直觀、快捷的電流變換電路的創新存在模式。因此,本文具體聯合負荷升降要求的變換裝置進行現場情景演練,將內部拓撲結構以及電感參數設計要求劃分清晰,同時完整論述該類系統的規范原理,穩定必要結構疏通潛力。

【關鍵詞】直流斬波 電路樣式 MATLAB 模擬技術 細化流程

直流斬波電路強調疏通可調電壓環境下的直流電形態,穩定輸入與輸出流程的銜接績效。技術人員為了有效穩固該電路性能,從中挖掘適當的提升方式,同時對開發原理以及性能提升要領進行同步規劃。需要注意的是,其中實際斬波裝置的工作模式存在兩類,包括脈沖與頻率調試技巧。

1 斬波電路的工作原理論述

直流斬波電路主要功能就是結合直流電調試轉換特性進行結構延展,透過對機理布置特征的觀察,涉及不同樣式的控制方式具體可以延展為時間比例、瞬時值以及二者混合構建途徑。此類電路主張使用某類權控器件,途中聯系IGBT以及相關器件進行總體流程延展;控制環節中若采用晶閘管,技術人員需設置晶閘管關斷的輔助電路。整體電路以及相關電流規劃流程中為了穩定管制績效,有關設計人員專門設置了續流二極管部件。這類斬波電路的典型用途之一就是應用拖動式直流電動機,同時積極帶動蓄電池負載功能;不足之處在于這類布局體系中都將出現反電動勢狀況。在現實電路設計流程中主要運用開關器件、阻性負載以及協調電壓管理,并且內部電壓數值主要借助開關張合狀態表現。

2 直流斬波電路的建模與仿真操作技術研究

2.1 借用IGBT搭建的直流降壓斬波電路以及規范參數設置

按照特定直流變換裝置仿真模擬操作技巧分析,有關默認格式下的參數設計與緩沖電路管理工作需要滿足同步跟進條件。在留有升降功能的非隔離式變換裝置空間之下,有關變換器之間的正負極性輸出機理形態十分復雜,必須全程依靠儲能電感疏通。整個流程下來,必定造成變換器的耗能數量增加結果,影響實際工作協調質量。在實際項目開展過程中,技術人員最好全面摒棄不同變換器既定工作理念,同時采用新型技術指標要求規范開關電源結構,爭取從中獲取優良的使用價值。IGBT具體結合高壓應用與快速終端設備進行垂直功率的自然進化調整;因為內部源漏通道電阻附加效應影響,IGBT開始針對結構功率缺陷進行應對。盡管創新模式的MOSFET設備將RDS特性全面規整,但是在高平電環境中的功率導通損耗現象仍然十分緊張;為了穩固IGBT結構,需要貫徹標準雙極器件與VCE同步調用實效,將高電流密度瓶頸限制全面克服。

2.2 變換器控制系統的實現流程分析

在系統設計環節中主要采取模擬控制與數字調節兩種途徑,本文就是重點結合變換器交互式系統進行雙重規整。為了穩定變換器降壓與升壓工作模式需求,不同電路疏通信號應該主動與最新電路設計標準進行優良匹配,保證將邏輯控制下的分配問題全面肅清。按照這種原理分析,技術人員開始將變換器與主變換電路開關電源進行智能匹配,后期結論內容具體如下所示:新型變換器拓撲結構比較簡單,各個節點工作交流模式也相對明確一些,能夠穩定數字化模擬操作的動機需求。

2.3 直流斬波電路的建模與仿真操作

2.3.1 仿真模型以及相關參數匹配

結合IGBT直流降壓電路建模以及參數設置條件進行科學分析,有關直流變換器仿真模型與默認參數設置條件已經齊全,為了迎接緩沖電路的消極化影響挑戰,在設計仿真操作流程中主要遵循以下細化工序要求:將參數調試界面打開,選取固定算法之后設置相對誤差標準,直接點擊進入仿真模擬流程,其中各類脈沖周期統一穩定在0.001s左右,有關后期的仿真控制結果要做到精準提??;可在固定窗口位置建立全新模型結構,并將工具箱電力模塊與IGBT模塊等資源依次打開,按照默認值要求實施必要參數規劃,同時將內部緩沖電路取消;之后將電源模塊打開,將必要直流電壓模塊灌輸并打開參數設置條框,將電壓源設置為200V;后續可將必要部件與接地模塊組打開,并直接復制串聯樣式的規劃窗口,將內部電阻設置為10Ω;透過MATLAB輸入源模塊,同時在buck窗口環境中復制脈沖發生器模型,必要時可實現輸出結果與IGBT門極的匹配目標。

2.3.2 直流升降壓斬波電路的仿真操作

結合IGBT元件以及電路仿真模擬流程進行長遠觀察,涉及默認參數以及電路緩沖效應必須及時得到制定。尤其在電感支路與仿真動作同步延展條件下,為了主動迎合升降壓斬波理論的精準規范要求,在直流變換電路設計過程中主要運用電控基準作為開關節點,保證電路接通與斷開時機的科學管控。適當應用SIMU LINK對降壓斬波電路與升降壓斬波的仿真結果進行詳細分析,并做好與常規電路設計方案的對比準備,確保輸出電壓波形的穩定狀態,最終全面驗證仿真結果的精準效應。

3 結語

綜上所述,運用MATLAB對降壓斬波電路仿真模擬操作流程進行細致分析,同時采取常規電路歸控結果進行同步檢驗,進而全面肯定創新操作流程的積極效用。這種模擬操作手段有效杜絕了傳統分析模式中的繁瑣繪圖與計算流程,進而靈活改變參數組合搭配樣式,適應科學調試的現實狀況,爭取為后期電子技術與多元內涵整合奠定雄厚基礎。

參考文獻

[1]李小敏.Matlab/Simulink在直流斬波電路仿真中的應用[J].科技資訊,2008,31(20):89-95.

[2]林皓.基于MATLAB/Simulink的液壓仿形刀架建模與仿真[J].機械工程師,2008,21(07):64-78.

[3]王建國.Matlab/Simulink在DC-DC變換器仿真中的應用[J].現代電子技術,2008,25(18):34-40.

[4]黃松和.基于Matlab/Simulink的多自由度機械振動系統仿真[J].湖北民族學院學報(自然科學版),2008,13(01):104-112.

[5]劉正生.基于Matlab/Simulink的旋翼飛機高度復合控制系統仿真[J].中國科技信息,2009,28(14):176-181.

篇5

    常設一個實驗室,配有齊全的電子測量儀器和設備,常年對培訓學生開放。按學生的層次不同,分別制訂培訓計劃,周一下達培訓設計內容,周末檢查。指導老師每周按時對學生指導,采用老帶新的方法。新生的培訓從基本技能開始,進行一些常用電路安裝、調試培訓,由淺入深。老生的培訓則采用個人和小組相結合的方法進行,培訓、設計制作的內容相對復雜、難度較大。定期下達一個與全國大學生電子競賽試題難度相近的電子系統設計制作任務,指導老師定時進行檢查指導。

    2暑期集中培訓

    對參加每年一屆的省級競賽的同學,其培訓時間一般為期2-3個月,其中用一個月時間對常用電路設計知識進行培訓。每周進行2次校內模擬競賽,電路設計難度和制作時間與省級歷屆的題目相近。對參加每兩年舉行一屆的全國小組競賽的學生,其培訓時間一般為3-5個月,充分利用暑期進行培訓。要求每小組分工合作進行資料查閱,電路系統設計,程序設計,電路安裝、調試,設計報告等工作。培訓后2個月,每2周進行一次模擬競賽,進行電路設計制作,充分提高各小組成員的協作能力。

    3加強培訓指導教師團隊建設

    學校建立大學生競賽培訓指導教師的培育與團隊建設中心。在競賽組織方面,通過開展各種形式和規模的研討,集體討論競賽大綱、編寫培訓教材、完善培訓方式。通過培訓指導教師的共同參與,確定培訓目標、內容及定位。支持培訓指導教師開展各項科研工作,以教學為基礎,以科研促進教學,全面提升競賽水平。

    4競賽技巧培訓

    設計總結報告的撰寫能力培訓。競賽最后提交的成果形式除設計作品之外還有設計總結報告部分。其撰寫質量直接關系著競賽的成績,進行技術設計報告的規范性訓練是很有必要的,包括結構安排、格式、文法與表達等。資料查閱能力培訓。電子設計大賽涉及面廣,哪些信息對競賽更有效與有用,以及如何選擇信息。進行資料查閱能力的培訓,讓學生明確自己需要的和必須掌握的信息,將對培訓工作起到事半功倍的效果。團隊協作能力培訓。要求隊員充分發揮聰明才智、群策群力、默契配合,要求隊員平時在學習上和生活上都能相互幫助、團結協作,便于競賽時能有條不紊。

篇6

關鍵詞:初中物理;素質教育;教學策略

一、巧用探究學習法,激發學習熱情

素質教育需要學習主體處于積極主動的狀態,將實際課堂教育的主動權交付給學生,學生開始去探究和歸納,由此實現物理知識的積累、物理規律的認知、物理知識的應用,這樣的主動學習格局會使得學生處于積極的學習狀態,而這些將驅動實際的學習朝著更加深刻的方向發展和進步[2]。由此在實際的初中物理教學中,創設良好的學習探究環境,盡可能地激發學生的學習興趣,將成為素質教育的重要開端,對此初中物理教師應該有著相對清晰的認知。例如,在滬科版物理“電路設計”相關知識點學習的時候,教師為了確保實際教育教學交互性的提升,可在實際課堂中融入探究學習法,要求學生以分小組的方式來開展活動,由此激發學生對于電路設計知識的學習熱情。比如,教師可以要求學生分小組觀察自己家中家居燈的特點,思考實際的燈具是如何工作的,燈具的電路設計應該如何去保證其功能性,在此基礎上收集和整理相關電路材料,采取對應的措施實現電路電板的優化設計,繪畫出對應的電路設計方案,并且依靠小組成員完成實際電路施工工作。在這樣的物理教學案例中,首先是生活經驗的激發,可以引導學生去思考平時生活中燈與電路的運行機制,繼而援引自己學習到的電路知識去想象實際電路設計的方法,并在此基礎上,由各個組員協同參與,運用對應的電路材料實現對應電路施工,模擬實際燈的電路設計環境,由此確保實際電路設計制作的知識點得到完全的鞏固。在上述的案例中,實踐活動有著很強的探究性,學生在這樣的知識探究環境中,實現了動手操作能力的鍛煉,完成了物理知識思維的培養任務,自然而然地進入物理學習的深刻狀態??梢哉f這也是實現初中物理素質教育的重要實現路徑,初中物理教師應該懂得在實際教育教學中滲透探究性的問題或活動,這樣可以增強知識與思維之間的交互,使得學生可以更好地掌握知識和學習技能。

二、開設趣味小實驗,增強知識認知

在實際初中教育教學環節,如果能夠考慮到學生的興趣,設置學生感興趣的內容,由此營造更加有趣味的情境,這將會激發學生的參與熱情,也就可以為實際初中物理教育教學工作的有效開展創設良好的基礎[3]?;谶@樣的考量,筆者認為在實際的初中物理教學的時候,還可以開設趣味小實驗,在實踐操作的過程中,增強學生對于物理知識的認知,由此使得實際的物理教學朝著提升學生素養的方向發展。比如,在學習滬科版八年級“學習使用天平和量筒”知識點的時候,教師可以引導學生開展如下的趣味小實驗,以增強學生對于天平運行原理的認知。要求學生在課前收集兩個廢舊牙膏殼,在課堂上分別稱兩個廢舊牙膏殼的質量,做好對應的記錄,接著要求學生使用剪刀將自己手中的廢舊牙膏殼剪掉,剪成小碎片,分別放在事先準備好的兩張紙張上,接著,要求學生分別將左邊和右邊的碎片放到天平上稱。在稱之前可以要求學生發揮想象,想一想剪掉后的牙膏殼再次放在天平上,此時的質量會有什么變化嗎?請使用實驗驗證的方式來驗證自己猜想。接著學生們分別按照對應的要求來操作,并且記錄對應的結果,實現兩次結果的比較,看看是否存在差異,并且思考為什么會出現這樣的情況?在這樣的趣味小實驗中,問題的設置可以激發學生探究的欲望,而對應的天平稱物的過程可以引導學生更加熟練地掌握實際使用技巧,這樣也就實現了學生實踐操作素質的提升。很明顯這兩個目標的實現,都是初中物理素質教育的題中之義。同時,實際趣味小實驗的設定,必須要保證實際內容與課程之間的吻合,并且懂得挖掘實際知識點的樂趣所在,鼓勵學生大膽地去猜想,并且在小實驗中去驗證,這樣的探究過程是學生自主完成的,而這些將驅動著實際的初中物理教育教學工作朝著高質量的方向發展和進步。

三、立足信息化技術,塑造學習格局

素質教育格局中學生學科知識的掌握,需要創設對應理想的學習情境,而這樣的情境可以通過信息化技術的手段來進行,由此使得學生更快更好地理解對應的物理知識,掌握對應的物理技能,引導初中物理教學格局朝著更加理想的方向發展和進步[4]。也就是說,使用信息化教學手段或工具,可以使得素質教育有著更加良好的外在環境,這對于塑造良好學習格局而言,也是至關重要的環節之一。以滬科版初中物理“密度”知識點學習為例,相關專業概念的理解需要很強的邏輯思維能力,但是總有部分學生難以有效理解實際內涵,繼而影響實際專業知識的應用。也就是說,本次課程的難點在于密度概念的理解。在這樣的課程改造過程中,筆者采取了如下的方式來優化調整。其一,要求各個小組分別確定10毫升水的質量和體積,20毫升水的質量和體積,30毫升水的質量和體積,40毫升水的質量和體積,50毫升水的質量和體積,使用電腦和投影工具,展示各個小組最終得出的結果;其二,使用Excel軟件展現各個小組得出的結果,要求學生對于實際結果進行分析,并且思考水的體積和水的質量之間存在怎樣的關系?此時學生會發現兩者之間呈現出正比例的關系,也就是說體積增加,質量也增加了,兩者之間的比值是一定的,由此得出對應密度的概念。依靠這樣的信息化手段可以很快地找到實際數據中的規律,實際的密度概念也就因此得到了總結和歸納,在這樣的操作過程中學生會跟隨著計算機工具去進行思考,為什么實際得到的結果是這樣的,為什么兩者之間的比重是一樣的?使用信息技術來輔助觀察,還有其他方面的效能:更加快捷營造良好的分析格局,引導學生進入物理知識的理解中去。

篇7

行動導向教學法Protel DXP教學方法教學模式《Protel DXP與計算機輔助設計》是借助于計算機完成電子線路的設計與制作,是電氣自動化技術、電力工程、城市軌道交通控制等專業的必修專業課,具有很強的技術性、專業性、實踐性和綜合性。該課程以電路的分析、應用為基礎,遵循國際和行業規范,培養學生電路原理圖的繪制和PCB板設計能力,以及利用電路設計軟件產生、輸出技術資料的能力。課程不僅為學生學習相關理論知識和技能訓練起到承前啟后的作用,而且為今后從事印制電路板工作起到增強適應能力和開發創新能力的作用。

一、課程教學目標

知識目標:軟件的安裝與卸載;電路原理圖的繪制、原理圖元件的創建和原理圖庫的建立;PCB板的布局/布線;元件封裝庫的創建;設計文件的輸出;PCB板的制作。

能力目標:獨立完成Protel DXP 2004安裝和配置;能夠熟練繪制簡單原理圖、復雜原理圖和層次原理圖;能夠將電路原理圖轉化成PCB板,合理布局并布線;能夠制作元器件原理圖庫及PCB封裝庫;能夠完成PCB板裝配圖的輸出、打印;能夠根據輸出的裝配圖制作PCB板;通過學習Protel DXP 2004,提高軟件應用能力及學習能力。

素質目標:培養學生愛崗敬業、愛護設備、具有高度的責任心、團結合作的職業操守;培養學生的標準意識、規范意識、成本意識、環保意識、質量意識。在教學中融入企業的6S管理及行業規范,6S就是整理、整頓、清掃、清潔、素養、安全。

二、課程設計理念與思路

課程的建設、改革遵循 “任務驅動、項目導向”的設計理念。

以自動化制造類職業崗位需求為導向,以職業能力培養為核心,以“能力遞進和適度循環反復”為原理,校企共同參與課程建設,融入行業標準和規范,用三個項目8個真實工作任務為載體。將知識和技能融入各個任務中,學生通過完成任務探索吸收知識、練好技能,同時培養自主學習能力,強化團隊精神,為后續課程學習和適應工作崗位奠定良好基礎。

三、教學內容分析

本課程針對電子設計及安裝員、印刷線路板制作工藝員崗位要求,將教學內容進行序化、整合,設置了三個項目,8個核心任務。

在項目一基本放大器PCB板的制作中設計了Protel DXP 2004軟件的安裝與卸載、基本放大器原理圖的繪制、基本放大器PCB板的制作3個工作任務,通過設計實物激發學生學習興趣,使掌握PCB板設計的基礎知識及基本操作,培養學生對電路設計步驟及工藝流程的初步認識。

項目二中信號發生器PCB板的制作設計了數據采集電路原理圖的繪制、信號發生器電路原理圖繪制、信號發生器PCB板的制作3個工作任務,使學生掌握大中規模電路系統涉及的復雜電路原理圖、層次電路原理圖及PCB板布線的處理方法及技巧,掌握DXP庫中未收錄元件的原理圖元件和PCB封裝的制作,培養學生團隊合作完成中等復雜程度電路板設計與制作的能力。

項目三以完成 “單片機開發系統的印制電路板”這個具有實用價值的產品為目標成果進行訓練,使學生在完成電路板設計、裝配過程中,綜合應用原理圖制作、PCB板制作、綜合布局布線、焊接調試等完整工藝流程,以訓練學生職業態度、操作規范及工藝要求為主,注重職業素養的養成。

通過完成任務,使學生掌握典型電路板繪制、設計原理與方法,并且能夠拓展到其它電路板的設計與制作。課程強調基本技能、操作規范與工藝,為學生的可持續發展奠定良好的基礎。

四、能力遞進的三階段教學模式

緊密依托企業,選取三個典型項目作為案例,項目按照從簡單到復雜,從相對單一到綜合應用的遞進關系排序。教學模式注重能力遞進,適度循環往復,逐漸培養學生對Protel DXP這一電路設計工具運用熟練程度,熟練電路設計流程。

項目一是簡單入門級項目,學生初步掌握工藝流程,初步培養起標準意識、規范意識、質量意識。

項目二是技能訓練型項目。學生通過小組合作的方式,在教師引導下,完成中等復雜程度電路板的設計與制作。對工藝方法、工藝標準的理解更加深刻,職業素養進一步養成。

項目三是綜合提高實訓項目。小組獨立完成整個項目的分析、實施,成品的檢測工作。整個過程完全以學生為主體,在學生遇到難以解決的問題時,教師給予恰當提示。

五、靈活、多樣的教學方法

根據三個項目不同的教學內容及每個階段學生認知的不同特點,采用了靈活、形式多樣的教學方法。

案例教學法:例如項目一中以Protel DXP為例介紹Protel系列軟件的安裝與卸載方法,以基本放大器PCB板的制作為例,介紹原理圖設計基本基礎, PCB板設計基礎等知識。

“行動導向教學法”:學生以小組的形式,分工合作,按照咨詢、計劃、決策、實施、檢查、評價六個步驟完成任務。項目二和項目三的任務均采用行動導向教學法。

在每個項目中根據具體內容、步驟不同又融入多種教學方法,例如在任務開始采用引導文法。小組制定計劃時可用頭腦風暴法;檢查任務完成情況時采用演示法。

六、多種教學手段

綜合運用多種教學手段優化教學過程,提高教學質量和效率。

多媒體教學:將抽象的教學內容,采用圖片、錄像、動畫等方式形象的演示。

實物教學:以真實的元器件和電路板為載體進行教學

網絡教學:推薦相關網站和設計案例。

現場教學:讓學生經歷項目全過程,親自操作、設計與加工制作電路板。

七、教學過程

根據教學內容的不同,三個項目采用不同的教學方法和教學過程。項目一以教師講解、演示為主,教學環節分為引入、講授、練習、小結、作業。項目二和項目三中的任務,學生均以小組形式,按照六步法完成任務。

資訊:教師通過視頻資料、課件或引導文,進行問題引領,導入任務,下達任務書,學生查找搜集資料,學習相關知識,教師給予輔導答疑。

計劃:在教師指導下,學生分組討論,并制定計劃。

決策:通過黑板或張貼版討論方案合理性、可行性,進行決策并確定實施方案,分配好每個人的任務。

實施:在實施過程中,學生進一步掌握并學會運用相關知識解決問題。

檢查:小組自檢,互檢、教師檢查。

評價:各小組自評、互評,教師評價任務完成情況并總結任務中的知識能力要點。

通過實施行動導向教學法,在教學中重視“案例”教學,重視“解決實際問題”及“自我管理式的學習”,使學生在學習過程中,不僅掌握相應的知識和技能,而且各種行為能力亦可以得到充分提高。

參考文獻:

[1]馬福軍.行動導向教學法在職業教育中的應用.職業技術教育,2007 ,(23).

篇8

學生在運用EWB軟件進行操作時,一邊可以熟練在實際應用中的操作技巧,分析具體電路解決問題,還可以一邊牢記關于電子電工技術的理論知識。就基爾霍夫定律來講,基爾霍夫定律是電子電工技術學中的基本定律,囊括了電壓定律和電流定律,對于學生學習電子電工技術理論十分重要,是以后將所學應用到實踐中的理論基礎。因此,通過操作EWB軟件,可以幫助學生加深關于基爾霍夫定律的理論知識。首先在計算機桌面上選擇EWB軟件的快捷方式,進入虛擬實驗室電子工作平臺。點擊創建電路按鈕,學生可根據實際情況自由創建電路。然后,學生打開電路中的電路開關,通過電壓表和電流表對該電路的電流和電壓進行讀取。隨后學生可以任意更改電路中電子元件的數值,分別對每次更換電子元件后的電壓表、電流表數值進行讀取。最后學生們學生以試驗后的多組電壓、電流數據為基礎,經過EWB軟件的計算功能來驗證,幫助學生進一步理解基爾霍夫定律,加深對理論知識的記憶,既培養了動手操作能力,有培養了學生的學習能力。

2EWB軟件在邏輯電路中的應用技巧

在邏輯電路中,可以后多種形式來表現邏輯函數,而這幾種形式是可以相互轉化的,通過相互轉化對邏輯電路進行綜合分析。傳統的分析電路方式,在對邏輯電路的分析過程中,邏輯函數的相互轉化耗費的時間長,計算復雜,不利于實際電路問題的分析和解決。EWB軟件根據這一點設計出邏輯轉換儀,這種特殊的儀表軟件,可以輕松的將幾種不同形式的邏輯函數進行轉化,達到分析電路設計電路的最終目的。一下對邏輯轉換儀的應用技巧進行簡要分析。首先進入EWB軟件主界面,選擇使用邏輯轉換工具,即邏輯轉換儀,利用鼠標進行選取,是邏輯轉換儀進入工作狀態。其次,根據具體電路、電流以及電壓情況對邏輯轉換儀進行參數設置。參數設置完畢之后繼續根據要求設置邏輯轉換儀輸出數值設置,在一切數值設置完畢后,準備進行下一項工作。之后根據不同電路形式,選擇不同的邏輯表達式按鈕,得到相應的邏輯電路。再通過單擊邏輯表達式按鈕,得到最簡的二進制邏輯表達式。最后,在得出不同的邏輯函數最簡表達式之后,保證電路和邏輯轉換儀連接完好,通過輸入不同的信號對集中最簡邏輯表達式進行轉換,通過觀察輸出數值,對邏輯電路中的幾種不同邏輯函數進行驗證,判斷轉換后結果是否一致。

3EWB中一些常見功能使用技巧

EWB軟件的設計使學生在教學中可以達到邊學邊做的效果,利用仿真電子平臺和虛擬實驗室達到實踐效果。要想熟練的掌握EWB的各種應用技巧,應該熟練EWB軟件中各種常見常見功能,從而達到在使用中熟練操作EWB各個應用技巧的目的。

3.1各類虛擬儀表的使用技巧

EWB軟件中對于虛擬儀表的設計,最大限度的符合了實際儀表的標準,使軟件操控者使用鍵盤和鼠標就能對各類儀表進行操作,設計電路、分析電路問題。在EWB電子實驗平臺中,共有其中虛擬儀表,分別是:數字萬用表、信號發生器、示波器、虛擬電流表、虛擬電壓表。由于在設計上最大限度的遵從了真是儀表的使用方法,因此在操作EWB軟件中,各類儀表的操作技巧也與真是儀表的操作技巧相同,需要注意的是在操作評測過程中注意觀察各種儀表的數值適用范圍,在數值范圍內進行合理的操作。

3.2EWB軟件中巧用快捷鍵

EWB軟件是一種計算機軟件,在操控中大部分過程需要進行鼠標。同時EWB軟件在設計中加入了快捷鍵功能,是軟件操控者在使用軟件過程中達到鼠標與鍵盤相結合的效果。在使用快捷鍵時操縱者減少了對鼠標的使用,充分的將左手與右手相結合,提高了軟件的操作的效率。需要注意的是,操作快捷鍵大都比較相似,操作者需要牢記每種快捷控制的內容,減少錯誤的出現。

4結束語

篇9

關鍵詞:電子電路;實驗;項目教學法

一、電子電路實驗教學的地位

電子電路實驗課是電子信息、電子科學、電子通訊專業重要的專業基礎實驗課。通過實驗課教學,培養學生理論聯系實際的能力,使學生能利用所學的理論,通過實驗結果去分析、研究電子電路,實現對電路參數的調整,使電路設計更加適應性能需求。通過綜合實驗電路板的焊接、調試,為學生打下工程實踐基礎,為后續實習作好準備。

二、電子電路實驗教學的目標

電子電路實驗教學的目標就是利用電路連接與測試,使學生能夠正確操作儀器、儀表,獨立開展實驗,并對實驗展開分析。電子電路實驗教學側重兩方面:一是要求學生對于電路理論知識有一定的理解和掌握,在學習過程中培養學生實事求是的精神和科學嚴謹的學習態度。二是讓學生掌握基本的實驗操作技巧,主要包括正確操作示波器、信號發生器等儀器、儀表;能夠以電路原理圖為基礎繪制出科學詳細的實驗電路圖,并以實驗電路圖為依據開展接線、線路故障檢修等工作;能夠獨立開展實驗,觀察實驗現象,記錄試驗數據并進行深度分析,寫出實驗報告。

三、提高電子電路實驗教學有效性的策略

1.采用項目教學法

所謂項目教學法,就是教師和學生共同合作,對一個項目進行管理的教學方法。采用這種教學法,教師可以把應當實施的項目呈現給學生,讓學生遵循實際的項目流程,以小組分工形式協作制訂計劃并實施對計劃,直至項目完成。以項目引導電子電路實驗教學,應當圍繞以下幾方面進行:

(1)項目的選取。項目選取時一定要考慮到可行性、典型性、綜合性和實用性,且能吸引學生的興趣,項目內容最好使學生充分體驗到學有所用的快樂。如設計一個高速并行A/D轉換系統,實現三位A/D轉換并顯示AD輸出值。該系統由基準電壓電路、電阻分壓電路、比較器和優先編碼器組成(外加一個顯示電路)。

(2)項目的實施。在項目確定之后,教師可以先把項目分解成多個任務,每個任務為一項實驗內容,根據學生的實際情況設置實驗要求。項目中每個任務的設置要求不同層次的學生都可以做到。高速并行A/D轉換系統這個項目,就可以分解為集成運放、觸發器、組合邏輯電路和時序邏輯電路這四大部分。進行實驗時,學生可以根據自己的情況選擇項目,完成項目里的各項任務,達到實驗的基本要求。完成項目中所有任務的學生可以根據自己的實際情況選擇完成整個項目,甚至可以擴展項目的功能,如實現四位A/D轉換。

2.Multisim在實驗教學中的應用

高校實驗教學越來越多地傾向于通過虛擬儀器技術進行實驗教學,這主要是由于虛擬儀器系統不僅具有很高的利用率,并且大大降低了教學成本,緩解了學校實驗經費緊張的狀況,這是以往使用傳統儀器開展實驗教學不具備的優勢。并且使用虛擬儀器可以不斷提高學生設計電路的能力,開發學生的發散性思維,可以使學生更牢固地掌握電路實驗的基本原理。虛擬儀器技術還能讓學生了解當前社會中應用的最先進的技術,使其從學校走出后能夠盡快融入社會,適應工作需求。

3.改變實驗教學的考核辦法

當前高校實驗教學的考核方法也存在很多問題,主要表現在對學生的考核不全面,考核重視理論知識而忽視了實際操作能力,重視實驗結果而不重視實驗過程。我們知道,如果學生獨立自主進行電路實驗的設計與操作,他們必然對電路功能分析、電路設計及仿真、電路的搭建及調試、電路故障的分析與排除等進行了無數次的重復實驗,他們還需要對實驗數據進行記錄并詳細分析。但是,也有些學生他們的實驗數據看起來不存在問題,但卻可能是從其他同學那里獲得的,這樣他們對知識的掌握程度必然不深,操作也不可能熟練。因此,電路實驗的考核不可以單看實驗結果,必須考核實驗過程。這樣就要把實驗考核劃分成兩大部分,一是日常的成績,一是實驗考核成績,從而全面地對學生的基本知識與基本操作技能進行考核。

參考文獻:

[1]任艷頻.電子電路實驗教學與人才培養探究[J].實驗室研究與探索,2012(11).

[2]王革思.電子電路實驗教學資源建設研究[J].實驗技術與管理,2015(1).

篇10

關鍵詞:FPGA;VHDL;變量和信號

中圖分類號:TP31 文獻標識碼:A 文章編號:1009-3044(2014)01-0219-04

1 概述

隨著EDA技術的發展,越來越多的航天產品中開始使用FPGA器件, FPGA設計中使用的硬件語言VHDL語言由于發展的較早,語法嚴格,非常適合大規模系統的設計,被航天產品設計師廣泛應用。

變量和信號是VHDL語言中最為常用和最重要的兩種數據對象,在電路設計中正確應用變量和信號是成功完成電路設計的重要因素。在對航天型號FPGA產品的評測過程中發現,設計師在使用VHDL語言時會對變量和信號的使用把握不準確,導致一些不必要的問題發生。因此,深入研究VHDL中變量和信號的基本特性和應用特點十分重要,對于提高FPGA的設計效率有著重要的意義。

2 變量和信號的主要區別

信號、變量和常量是VHDL中常用的2類數據對象。變量非常接近軟件高級語言中的變量、而信號則具備更多的硬件特征,能對應硬件電路中的實際連線,是VHDL語言所特有的。信號和變量的用法和區別主要體現在以下幾個方面。

1)變量是一個局部量,只能在進程和子程序中聲明和使用。信號是一個全局量,使用和定義范圍是實體、結構體和程序包,它具有全局性特征。例如,在實體中定義的信號可以被該實體中所有的進程使用。因此,信號可以作為信息交流通道在不同進程之間傳遞信息。

2)變量和信號的賦值語句不同,前者為“:=”,后者為“

3)進程中的敏感列表中可以有信號,不能有變量。

4)變量的賦值不存在延時,是立即發生的,而信號賦值都是有延時的。在一個進程中,如果對同一個信號多次賦值,僅最后一次賦值是有效的,如果對一個變量進行多次賦值,那么每次賦值都是有效的,變量的值在再次賦值之前一直保持不變。

結合以下的幾個例子我們可以體會到變量和信號在賦值時的不同之處。在程序1中,由于信號賦值有延時,即進程結束時賦新值,所以結果是a和b 的值互換;而程序2中,由于變量賦值是立即更新的,所以結果是a和b的值均為b。

程序1:

architecture xinhao_arch of xinhao is

signal a,b : std_logic; ―信號

begin

process (a,b)

begin

a

b

end process;

end xinhao_arch ;

程序2:

architecture bianliang_arch of bianliang is

begin

process

variable a,b : std_logic;―變量

begin

a := b;

b := a;

end process;

end bianliang_arch ;

程序3和程序4是將輸入信號din用信號賦值和變量賦值的方法分別賦值給輸出信號dout。我們分別比較兩種情況下的區別。

程序3:

entity xh is

port(

din : in std_logic;―輸入信號

clk : in std_logic;―時鐘信號

dout : out std_logic 輸出信號

);

end xh;

architecture xh_a of xh is

signal da,db: std_logic;―信號

begin

process(clk)

begin

if rising_edge(clk) then

da

db

end if;

end process ;

dout

end xh_a;

在程序3中,由于信號的賦值不是即時的,輸入端口din的值在賦給輸出端口dout時經過了2個時鐘延時,所以它由2個D觸發器構成,如圖1和圖2。

程序4:

entity bl is

port(

din : in std_logic;

clk : in std_logic;

dout : out std_logic

);

end bl;

architecture bl_b of bl is

begin

process(clk)

variable da,db: std_logic;―變量

begin

if rising_edge(clk) then

da := din;

db := da;

dout

end if;

end process ;

end bl_b;

程序4中,由于變量的賦值是即時的,相當于把輸入端口din的的值賦給輸出端口dout,所以它由一個D觸發器構成,如圖3、圖4。

3 變量和信號賦初值技巧

在FPGA設計中,復位時賦予各個信號初值是很有必要的,否則可能出現不定態。在給變量和信號定義的時候雖然可以賦初值,但應該注意的是,這個初始值只是對于行為級仿真來說有用 , 綜合器在綜合時會忽略這些信息。

在某型號FPGA產品測試時發現設計師使用多個變量信號,并對這些變量信號賦初始值,前仿真結果正確,但后仿真中觀測的信號為不定值,無法順利進行后仿真。程序部分代碼如下:

process(clk)

variable en : std_logic := '0';

variable cnt : std_logic_vector(1 downto 0) := (others =>'0');

begin

if rising_edge(clk) then

cnt := cnt + (clk2 and en);

en := not clk2

end if;

end process;

波形見下圖5

分析其原因:在定義變量en和cnt時直接給其賦初始值并不能生效,這是因為綜合過程中綜合器將略去所有變量的初始值,因此變量en和cnt在后仿真中為不確定態,從而使得后仿真無法順利進行。

為了實現對變量en和cnt賦初值,需要對程序進行如下修改。修改后仿真波形見下圖6,后仿真結果正確。

process(clk)

variable en : std_logic;

variable cnt : std_logic_vector(1 downto 0);

begin

if rising_edge(clk) then

if reset = '0' then ― reset為全局復位信號

cnt := (others=>'0');

en := '0';

else

cnt := cnt + (clk2 and en);

en := not clk2;

end if;

end if;

end process;

由此可見,信號和變量應該避免在定義時初始化賦值。而改由在相應的位置(如復位處)進行初始化。因此,無論是在仿真還是綜合時,都建議使用這樣的方法(在復位處)進行初始化。

4 變量和信號使用特點

在實際編程中,我們要結合變量和信號有各自的區別和優缺點在不同的情況下進行選擇使用,尤其注意以下幾個幾點:

1)變量可以用來實現一些復雜的算法,也可以進行建模。對于仿真來說,使用變量一般可以提高程序的仿真速度,縮短測試周期,但在有的仿真器中也存在無法直接觀測變量波形和變化值,使得仿真過程復雜化。

2)變量賦值雖然是無延時的,但變量的操作綜合后容易在硬件上產生較大的延時,這是因為對變量的操作往往被綜合成為組合邏輯。而信號更接近于硬件,綜合后一般對應成觸發器,能很好的控制硬件上的延時。因此,從這個意義上講使用信號能提高設計的速度。

3)變量由于其有效范圍只是局限在它所在的進程之中,因此要將變量的值傳輸到進程之外的話必須要先傳輸給信號,利用信號的全局特性進行信息傳遞。因此,程序中如果大量使用變量就必須同時定義若干個相關信號,在多個進程之間傳遞大量的信息十分的不方便,也大大降低了程序的可讀性。而信號不存在這樣的缺點,因此在實際設計中應盡量使用信號進行內部信息傳遞。

5 結束語

通過上面的討論可知,在FPGA設計中,要特別注意信號和變量的區別和使用特點,靈活掌握信號和變量的使用技巧,提高設計效率。

參考文獻:

[1] 侯伯亨,顧新.VHDL硬件描述語言與數字邏輯電路設計[M].西安電子科技大學出版社,1999.